当有时输入和输出端口可以在Verilog中互换使用时,inout端口的确切标准是什么?


無口君
2024-10-13 02:23:11 (4小时前)
4 条回复
  1. 0# 天线宝宝 | 2019-08-31 10-32
  2. 1# 遇见你 | 2019-08-31 10-32
  3. 2# SHOU宅大可爱 | 2019-08-31 10-32
登录 后才能参与评论